Identification of a protein that binds to the SH3 region of Abl and is similar to Bcr and GAP-rho.

Source:http://linkedlifedata.com/resource/pubmed/id/1379745

Science 1992 Aug 7 257 5071 803-6

Download in:

View as

General Info

PMID
1379745